Optional filters for this list
(Show filters...)
Area/Room:
 NameTool IdCategoryManufacturerModel
View 3D MF Probestation492MetrologyIn-houseMedium Field MR and RF Probe Station
View 4-Point5601MetrologyFour Dimensions, IncModel 280
View 5175 DS-15219Wet process benchesburdinolaOR-ST 1500
View 5175 DS-25220Wet process benchesburdinolaOR-ST 1500
View 5175 DS-35221Wet process benchesburdinolaOR-ST 1500
View 5269 DS-15222Wet process benchesburdinolaOR-ST 1500
View 5269 DS-25223Wet process benchesburdinolaOR-ST 1500
View 5269 DS-35224Wet process benchesburdinolaOR-ST 1500
View 5269 DS-45225Wet process benchesburdinolaOR-ST 1500
View 5269 DS-55226Wet process benchesburdinolaOR-ST 1500
View 5269 DS-65227Wet process benchesburdinolaOR-ST 1500
View 5269 DS-75228Wet process benchesburdinolaOR-ST 1500
View Activator388Thermal processesCentrothermActivator 150 (SiC anneal)
View AFM/SPM CellKraft Humidifier Albanova129MetrologyCellKraftP2
View AFM/SPM IPS Bipotentiostat Albanova130MetrologyIPSPGU-BI 1000
View AFM/SPM Nanow.JPK2 Albanova113MetrologyJPK InstrumentsNanowizard 3
View AFM/SSRM456MetrologyVeeco/Digital InstrumentsNanoScope Dimension 3100
View AGM491MetrologyPrinceton Measurement Corporation2900-02 Alternating Gradient Magnetometer
View AJA 2 Sputter Albanova114Thin film depositionAJA International Inc.Orion
View AJA 3 Sputter Albanova107Thin film depositionAJA InternationalATC Orion-8
View AJA evaporator Albanova140Thin film depositionAJA international Inc.ATC1800
View AJA ion milling Albanova139Dry etchingAJA international Inc.AJA ion miller
View AJA Sputter Albanova104Thin film depositionAJA International Inc.Orion
View ALD570Thin film depositionBENEQTFS200
View ALS-stepper581LithographyGCA/UltratechALS 2035 G-line
View Annealer vacuum Albanova134Thermal processesMoorfieldAnneal
View APL-HMDS3721LithographyYES-5E Vacuum Bake / Vapour Prime Processing System
View Ariel537Dry etchingOxford Plasma TechnologyPlasmalab80Plus (Oxford RIE System)
View Arnold526LithographySSE spincoaterOPTISPIN SST20
View AS-One RTP571Thermal processesAnnealsysAS-One
View Asterix551EpitaxyAixtron AIX 200/4Movpe
View Atomic Absorption Spectrometer164MetrologyThermco FisherThermo iCE 3000
View Bake 25642LithographyBake oven DespatchPre and postprocessing of samples
View Bake 35643LithographyBake oven MemmertPre and postprocessing of samples
View Bake 45074LithographyMemmertU 26
View Bake 55075Thermal processesMemmertU 26
View Bake 65076LithographyDespatchOven
View Bake 73722LithographyNaberthermRamp able
View Balance5606Other processesBalanceVåg
View Barbara511Thin film depositionProvacPAK 600 Coating System
View Beamer computer Albanova133LithographyGeniSys GmbHVersion 5.90
View Bergman Labora Inspection Microscope578MetrologyNikonL
View Bergman Labora NIS-Computer5781MetrologyIntelIntel Xeon 2245 3.9GHz, Nvidia Quadro RTX5000 8GB
View Bläster5004Other processesGuyson Europlast4 SF-Select (med inställbar hjöd) samt utsugningsf
View Bond Tester DAGE466MetrologyDAGE2400PC
View Bonder542Device mountingKarl SussCB8 Substrate Bonder
View Box furnace219Thermal processesCARBOLITECWF 1200
View Brage303Wet process benchesPM PlastFume hood
View Calib426Other processes--
View Canon EOS 350D4541Surface analysis & TEMCanonEOS 350D 100mm Macro
View CD SEM363Surface analysis & TEMHitachiS-3400N & EDS QUANTAX 200
View Centrifuge Z 200 A213Sample prepHERMLEZ 200 A
View Centrifuge Z 323214Device mountingHERMLEZ 323
View CIPT495MetrologySmartipCIPTech
View Cleanroom4500Other processesElectrumElectrum Laboratory
View CMP489Other processesIPEC / AxusAvanti 472
View CNC-milling machine154Other processesMinitechMini-Mill
View CnCV1405MetrologySEMILAB210
View CO2 Laser158Other processesUniversal Laser Inc.VSL 2.3
View Cobra ICP-RIE Albanova135Dry etchingOxford InstrumentsPlasmapro 100 Cobra 300
View CPD159Other processestousimisAutomegasamdris-938
View Critical Point Dryer Albanova112Other processesLeicaEM CPD 300
View Cryo RIE Albanova106Dry etchingOxfordPlasmalab 100
View Cryogenic Probestation447MetrologyJanisST-500-UHT
View Dektak362MetrologySTDektak3ST
View DektakXT Stylus Profilometer502MetrologyBrukerDektakXT
View Diamond saw5203Sample prepBuehlerIsomet low speed saw
View Differential scanning calorimetry (DSC)203MetrologyTA Instruments2920 modulated DSC
View Dimple grinder5206Sample prepGatanDimple grinder
View Disco cleaner3760SawingDiscoDCS1441
View Disco DAD saw465SawingDiscoDAD 320
View Disco-saw375SawingDiscoDAD3241
View DPT Device423Other processesHollander ResearchSiC power discretes
View DPT-PM424Other processesHollander ResearchSiC power modules
View DynaCool PPMS Albanova136MetrologyQuantum DesignDynacool
View Dynatronix Pulse Power Supply161MetrologyDynatronixDuPR10-.1-.3XR
View Ebeam litho Albanova100LithographyRaith GmbHRaith Voyager
View EDS305Surface analysis & TEMHitachiSU8230
View Edwards Auto 306 Albanova122Thin film depositionEdwardsAuto306 with FL400 chamber
View Electrolyte polishing5207Sample prepFischioneElectrolyte polishing
View Emma524LithographyKarl SussMA6/BA6
View Empyrean562MetrologyPANalytical B.V.Empyrean multipurpose high resolution X-ray diffra
View Endura588Thin film depositionApplied MaterialsPVD
View Epsilon2000 SiGe epitaxy572EpitaxyASM200Si-epi
View Esa536Dry etchingOxford Plasma SystemPlasmalab80Plus (Oxford RIE System) Chamber B
View ESEC Automatic Wirebonder156Device mountingESEC3100 plus
View Evercool PPMS Albanova138MetrologyQuantum DesignEvercool II
View External chemistry lab4501Other processesN/AN/A
View Fabio535Dry etchingOxford InstrumentICP380 Etch System
View FE-TEM468Surface analysis & TEMJEOLJEM 2100F(HR), JEOL Electron Microscope 2100 Field
View FH APL3731Wet process benchesFume hoodFume hood
View FH APL-gul3724LithographyPM PlastDragskåp
View FH epi service5040Wet process benchesPM PlastDragskåp för epi rengöring
View FH Gul35071LithographyPM PlastDragskåp
View FH III/V5034Wet process benchesPM PlastDragskåp
View FH MS Nikon1372Device mountingLabrum klimatLAF
View FH MS Olympus1371Device mountingLabrum klimatLAF
View FH Service5049Wet process benchesPM plastFume hood for service
View FH wet chemistry-15041Wet process benchesPM PlastDragskåp solvent & acid (for service work)
View FH wet chemistry-2 (solvent)5042Wet process benchesPM PlastDragskåp solvent
View FH wet chemistry-35043Wet process benchesPM PlastDragskåp solvent and acid
View FH wet chemistry-45044Wet process benchesPM PlastDragskåp solvent
View FH wet chemistry-5 (solvent)5045Wet process benchesPM PlastDragskåp solvent
View FH wet chemistry-65046Wet process benchesPM PlastDragskåp solvent
View FH wet chemistry-75047Wet process benchesPM PLastDragskåp
View FH wet chemistry-85048Wet process benchesPM PlastDragskåp
View FIB/SEM Albanova101Surface analysis & TEMFEI CompanyNova 200
View Fiber anneal1506Other processesÅngpaneföreningenSpecial design
View FIB-SEM467Surface analysis & TEMFEIQUANTA 3D FEG
View Fluorescence Spectrometer (PL)206MetrologyPerkin ElmerLS55
View Formlabs SLA printer 1165Other processesFormlabsForm 3
View Formlabs SLA printer 2166Other processesFormlabsForm 3
View Fourier Transform Infrared (FTIR)204MetrologyThermo ScientificNicolet iS10
View Freeze Dryer210MetrologyIlshin LabIlshin
View Frej301EpitaxyAixtronFlip Top CCS MOVPE System
View Freja302Surface analysis & TEMHitachiSU8230
View Fs Laser system 15216Other processesCoherentMira 900
View Fs Laser system 25217Other processesCoherent and APEChameleon Ultra II + APE Harmonics generator
View FTIR Spectrometer448MetrologyBrukerVortex 70 V
View Gallus534Dry etchingOxford InstrumentICP380 Etch System (GaAs & InP)
View Gamma579LithographySuss MicrotecGamma 4M
View Gas Gromatography /Mass Spectrometry (GC/MS)223MetrologyHewlett PackardHP 6890
View Gemini569Surface analysis & TEMZeiss Ultra 55
View Gold sputter FNM5202Sample prepJEOLIon sputter JFC-1100
View Grinder-polisher5204Sample prepBuehlerVector/Alpha
View Hall455MetrologyVarian/KeithlyIn-house
View HB16-TPT wire bonder590Device mountingTPTHB16
View Helios 5 UC FIB/SEM Albanova141Surface analysis & TEMFEIHelios 5 UC
View HF Probestation493MetrologyIn-houseHigh Field RF Characterization Station
View HMDS 25073LithographyIMTECStar 2000 (HMDS)
View HR X-Ray561MetrologyX-RayX-Ray
View ICP533Dry etchingSTSICP DRIE (Si, SiO2)
View IDP472Thin film depositionExpertechLPCVD furnace
View Indira516Thin film depositionBalzersBA 510 Thermal Evaporator
View Inductively Coupled Plasma Emission Spectrometry (ICP-OES)200MetrologyThermo ScientificiCAP 6500
View Ion Chromatography (IC)207MetrologyMetrohmEco IC
View Ion polishing5208Sample prepGatanPrecision ion polishing 691
View Iwatsu421Other processesIwatsuI-V 5KV 400A
View Karl Suss521LithographyKarl SussMask aligner MA8/BA8
View KDF519Thin film depositionKDF844GT
View Keithley Parameteranalyser3502MetrologyTektronix4200A-SCS
View Kemvåg5017Metrology??
View Keysight422Other processesKeysight B5105B
View Kyl & frys5078Other processesElectroluxKylskåp/frys
View Lab support450Other processesELABVirtual tool
View Laboratory oven5210Sample prepHereausBench oven
View LabRamHR Raman/mikro-PL-system226MetrologyHoribaLabRamHR
View Labspin 82527LithographySuss MicrotecLabspin8 BM
View Labspin80522LithographySuss MicrotecLabspin8-BM
View LEITZ5014MetrologyLEITZ MPV-SPThin film interferometry,
View Light Soaker222Other processesWavelabsWavelabs
View LIMS5001Other processesElabAccess
View LPE 1061404EpitaxyLPEPE106
View Läcksökaren5005Other processesPfeiferQualyTest HLT260
View M01 Olympus3726Surface analysis & TEMOlympusBX60M
View M02 Olympus/camera3711Surface analysis & TEMOlympusBX60
View M03 Leica3712Surface analysis & TEMLeicaMicroscope
View M05 Nikon/auto5012Surface analysis & TEMNikonMicroscope
View M07 Olympus/camera5018Surface analysis & TEMOlympusMicroscope
View M08 Leitz/camera5061Surface analysis & TEMLeitzMicroscope with camera
View M09 Nikon/camera5062Surface analysis & TEMNikonMicrosocpe with camera
View M10 Nikon/auto5603Surface analysis & TEMNikonMicroscope (motorized objectives)
View M11 Nikon/CD 15602MetrologyNikonOPTISHOT/Linjebreddsmätare
View M13 Nikon/camera5612Surface analysis & TEMNikonNikon
View M14 Olympus/camera5064Surface analysis & TEMOlympusBX60
View M20 Microscope5209Sample prepNanometricsMicroscope
View Manual probstation5013MetrologySuss Microtech10500006 (probe station)
View Mariana593Dry etchingSPTSRapier
View Masktvätt5644LithographyUltra t Equipment Company, Inc.SCS 124
View Maximus523LithographySSEMaximus 804
View Megasonic bath5059Wet process benchesPCTPCT 24 wet bench
View Micro Diamond Scriber, Albanova132Device mountingOEG GmbHMR-200
View Microwave/THz Probe Station3501MetrologyMSTMST Lab
View MLA150 Albanova128LithographyHeidelberg Instruments GmbHMLA150
View MS01 Nikon5063Surface analysis & TEMNikonStereo microscope SMZ-2B
View MS02 Nikon5613Surface analysis & TEMNikonStereo microscope SMZ-2T
View MTP577Device mountingX'DisplayMTP-1002
View Multi vessel dip coating unit217Sample prepAoxicindiaXdip.MVI
View Nanna304Wet process benchesPM PlastFume hood
View Nanoscribe160Other processesNanoscribe3D Microfabrication System Photonic Professional G
View Napoleon1403EpitaxyAixtronVP508GFR SiC epi
View Nikon Microphot-FXA153Other processesNikonMicrophot-FXA
View NSR i-line stepper589LithographyNikonTFHi12
View Obelix1552EpitaxyAixtronLP-VPE 2106
View Opt. micr. 1, Albanova124Surface analysis & TEMNikonME600
View Opt. micr. 2, Albanova125Surface analysis & TEMNikonME600
View Opt. micr. 3, Albanova126Surface analysis & TEMNikonEclipse L200
View Opt. micr. 4, fluorescence, Albanova127Surface analysis & TEMNikonME600 w. fluorescence unit
View Optical microscope (Leica)221Sample prepLEICA DMLMLeica
View Optical profilometer454MetrologyVeecoWyko NT9300
View Orbis, Vapor HF etch592Dry etchingmemsstarOrbis Alpha
View P5000 RIE/PECVD cluster587Dry etchingApplied MaterialsPrecision 5000 Mark II (Dielectric, MxP, CVD)
View Paroteq Bonder Albanova116Device mountingParoteq GmbHH-system
View Particle sizer-DLS/Zeta potential201MetrologyBeckman CoulterDelsa Nano
View Parylene coater157Thin film depositionSCSPDS 2010
View Pekka518Thin film depositionPlasmalab80Plus (Oxford PECVD System) Chamber A
View Peo540Thermal processesPEOPEO-603 Anneal furnace III/V (ramp)
View Plasma cleaner5201Sample prepFischionePlasma cleaner
View Plasmatvätt Pico1380Dry etchingDiener PICO RFLow pressure plasma etcher
View PLD20120509Thin film depositionNeocera IncNeocera Pioneer 180 UHV PLD
View Potentiostat / Galvanostat - ZRA208MetrologyGAMRY InstrumentsVistsShield/Interface 1010
View Precision ion polishing system216Sample prepGatanmodel 691
View Preparation lab469Sample prepCollection of toolsTools for sample preparation
View Probestation 1 Manual457MetrologyCascade11000
View Probestation 3 Semi Automatic459MetrologyCascade MicrotechCascade 12000
View Probestation 4 High Temp.453MetrologySignatoneS-1060
View Profiler Albanova120MetrologyKLA-TencorP7
View Prometeus auto441MetrologyKarl Suss and Temptronic TermoChuck SystemAutomatic Probestation PA 150 and TP03215B-3300-2
View Prometeus manual440MetrologyKarl Suss and Temptronic TermoChuck SystemManual Probestation PM 5, TP0314A
View PXI162MetrologyNational InstrumentsNI PXI-1033 Series
View Quickseal5591Other processesQuicksealInplastning
View R/D 25664Wet process benchesVerteqVerteq 4"
View R/D 35033Wet process benchesVerteqVerteq
View R/D double5058Wet process benchesSemitoolSemitool Double 870S
View R/D Gold5057Wet process benchesFSI PhoenixFSI Phoenix 4" Au/Lift-Off
View R/D Gul15023Wet process benchesFSI PhoenixFSI Phoenix 4"
View R/D Metals5056Wet process benchesSemitoolSemitool 4" Metals
View Raman Spectrometer HORIBA iHR 5505214MetrologyHORIBA Jobin YvoniHR 550
View Renrum5000Other processesElabAccess
View RF Probe Station163MetrologyMSTMST Lab
View RIE531Dry etchingPlasmalab System 100RIE of thin films (Si3N4 & SiO2)
View RIE ICP O2/AR Albanova103Dry etchingOxfordPlasmalab 80+
View Rinser 13733Wet process benchesVerteqVerteq
View Rinser 23725Wet process benchesVerteqVerteq
View RoomR5002Other processesElabresponsible
View Rotating Tube Furnace209Thermal processesHeraeusthermicon P
View Rotational Viscometer224MetrologyAnton PaarVisco QC 100
View Rotavapor 218Sample prepBÜCHIR-205
View Rörtvätt5003Other processesKTHhandmade
View Scanning near-field optical microscope (SNOM)5218Surface analysis & TEMMax Born Institute with modifications at KTHA home-made instrument
View SCM425Other processes--
View Sentaurus1406Other processesSynopsysR-2020.09
View Skivthk5016MetrologyMitutoyoRDP transducer indicator E307-1
View SmartPrint Maskless Litho Albanova115LithographySmartForce TechnologiesSmartPrint
View Speed mixer152Other processesMSTMST lab
View Spintronics probestation452Metrologycustom built Probestation with rotating 1 T electromagnet
View SPM/AFM FastScan Albanova110MetrologyBrukerDimension FastScan
View SPM/AFM Icon Albanova109MetrologyBrukerDimension Icon
View SPM/AFM Nanowizard JPK Albanova111MetrologyJPK InstrumentsNanowizard 3 Bioscience AFM
View SR2000N Sheet Resistance5607MetrologyMDCSR2000N
View SSE Sprayets1374Wet process benchesSSESprayets
View SSEC4890Wet process benchesVeccoSSEC 3300
View STM 15212Surface analysis & TEMOmicronOmicron STM-1
View T1-Oxide 1250C485Thermal processesThermco5200
View T2-Oxide 1250C486Thermal processesThermco5200
View T3-Gate ox487Thermal processesThermco5200
View T4-FGA488Thermal processesThermco5200
View Tegal573Dry etchingPlasmalineB300RF
View TEK 370A420Other processesTektronix370A
View Tepla530Dry etchingTePla300 (Microwave Plasma Asher)
View Testtool20090417Other processesTest AB eller OY eller LtdSpecial
View Testtool Electrum20120702MetrologyElectrum labmodel 1
View TGA/FTIR Interface225Surface analysis & TEMThermo Scientific--
View Thermogravimetry Analysis (TGA)202MetrologyTA InstrumentsTGA Q500
View Theta Lite151Surface analysis & TEMMSTMST lab
View TLE lab5446Other processesTanner15.10
View Two speed grinder-polisher215Sample prepBUEHLERAlpha
View UEM470Surface analysis & TEMJEOL JEM-2100Ultrafast Electron Microscopy
View uFAB Femtosecond Laser150Other processesMSTMST lab
View UHV SPM 35005213Surface analysis & TEMRHK TechnologyUHV SPM 3500
View Ultrasonic disc cutter5205Sample prepGatanModel 601
View ULVAC photoresist stripper591LithographyULVACENVIRO-1Xa
View UVISEL Spectroscopic Ellipsometry557MetrologyHORIBAUVISEL ER
View UVO Cleaner3713Sample prepJelight COmpany, Inc42-220
View UV-Vis-NIR Spectrometer205MetrologyPerkin ElmerLambda-750
View W06075662Wet process benchesWet bench HF cleanWet bench HF clean and HF doped
View W26315031Wet process benchesWet benchWet bench spray etch (GaAs).
View W32335032Wet process benchesWet benchWet bench lift off.
View W345070Wet process benchesWet benchWet bench Lithografi
View Vacuum Oven B&H212Thermal processesBuch & HalmVacuceII
View Wafer Inspection System503Surface analysis & TEMAeronca Electronics (Ceased), EstekWIS150
View Wetb Al etch5055Wet process benchesWet benchEtching
View Wetb APL5663Wet process benchesWet benchWet bench
View Wetb APL-gul3723Wet process benchesWet benchWet bench
View Wetb Au develop5022Wet process benchesWet benchWet bench
View Wetb MEMS5051Wet process benchesWet benchTMAH, HF, KOH
View Wetb metal5053Wet process benchesWet benchAu/metal etch
View Wetb Sabina5077Wet process benchesPM Plast2 HP, Developer, Sink
View Wetb Si process5052Wet process benchesWet bench oxideWet bench oxide,HF 1:10,BHF,HFmix
View Wetb Si strip5021Wet process benchesWet bench developerPhotoresist processing.
View Wetb solvent5054Wet process benchesWet benchRemover, Vax, Au module
View Wetb Solvent clean3732Wet process benchesWet bench Substrate cleaning
View Wetb-Si epi-clean5661Wet process benchesWet bench CleanWet bench Clean and IMEC
View Wire bonder Albanova123Device mountingKulicke - Soffa4523D
View Woollam VASE Albanova137MetrologyJ.A. WoollamVASE Ellipsometer
View VT STM5211Surface analysis & TEMOmicronOmicron VT-STM
View Yes-ugn367Thermal processesYESPolyimide Bake Oven YES-450PB8-2/6-2
View ZVA-24 Vector Network Analyzer155MetrologyMSTMST Lab
Show Page: 1  (Total Records: 288)Records Per Page:
Back to top